오늘은 맑음

무료 Verilog HDL/VHDL 시뮬레이션 툴 본문

Tip

무료 Verilog HDL/VHDL 시뮬레이션 툴

자전거 타는 구구 2021. 5. 19. 23:34
반응형

 무료로 사용할 수 있는 verilog hdl/vhdl 합성/시뮬레이션 툴에 관해 공유합니다.

리눅스에서는 많은 무료 tool들을 제공하지만, 사용하기 편리한 합성/시뮬레이션 툴을 위주로 적어보겠습니다.

 

1. ModelSim SE(Modelsim Student Edition)

 합성이 아닌 디자인과 테스트벤치를 이용해서 시뮬레이션을 할 수 있는 Mentor Graphics의 툴입니다.

Student Edition은 학생 계정으로 접속하면 무료로 다운로드해서 사용할 수 있었는데, 현재 막혀있는 것 같습니다...

작년에 학생일 때는 사용했었는데 아쉽네요. 

https://eda.sw.siemens.com/en-US/modelsim-student-edition-unavailable

 

ModelSim Student Edition Unavailable

Unavailable Downloads

eda.sw.siemens.com

Modelsim 사용방법

https://wh00300.tistory.com/109

 

modelsim 사용하기/modelsim simulation

Modelsim verilog로 코딩을 한 뒤 simulation을 보기 위해서는 여러가지 tool을 사용할 수 있습니다. xilinx의 vivado 또는 modelsim,이나 altera의 Quartus를 사용할 수 있겠는데 오늘은 modelsim에 대해 알아보..

wh00300.tistory.com

 

2. Xilinx Vivado

 두 번째는 Xilinx의 Vivado입니다. Vivado는 Webpack edition으로 한정된 FPGA와 IP lisence를 포함해서 무료로 시뮬레이션/합성 및 FPGA 테스트까지 진행할 수 있는 툴입니다. 학생때부터 ISE Design Suite를 사용해서 그런지 가장 손에 익어 간단한 시뮬레이션은 vivado를 사용하고 있습니다. Vivado는 HLS, Vitis 등 많은 기능을 제공합니다. 

https://wh00300.tistory.com/197?category=961032 

 

Vivado Tutorial / Vivado WebPACK Edition 설치

안녕하세요, 오늘은 Vivado를 설치해보도록 하겠습니다. Vivado를 선택한 이유는 무료로 설치할 수 있는 WebPACK이 있기 때문입니다. 학생이신 분들은 Quartus나 modelsim을 이용하셔도 됩니다. modelsim은

wh00300.tistory.com

https://wh00300.tistory.com/198

 

Vivado Tutorial / Vivado 시작하기

Vivado를 설치하셨다면, 실행해봅시다. 기본적으로 clk, reset, wire, reg에 대한 개념은 알고 계시다는 가정 하에 진행하겠습니다. 오늘은 간단한 덧셈기를 만들고 testbench를 통해 시뮬레이션을 수행해

wh00300.tistory.com

 

3. Altera Quartus

 세 번째는 Intel이 인수한 Altera의 Quartus입니다. Vivado는 Xilinx사의 FPGA를 서포트하기 위해 있는 툴이지만 Quatrus는 Altera사의 FPGA를 서포트하는 툴입니다. 따라서 지원하는 FGPA는 다르나 사용해보시면 기본적인 내용은 VIvado와 크게 다르지 않습니다. 학생때 Chip contest에 나가면서 잠시 사용해봤는데 이 후 사용하지 않아 포스팅은 없네요... Quartus는 Lite Edition으로 설치하시면 무료로 사용 가능합니다.

 

https://fpgasoftware.intel.com/?edition=lite 

 

Download Center for FPGAs

Quartus Prime Lite Edition Release date: November, 2020 Latest Release: v20.1.1 Select edition: Pro Standard Lite Select release: 20.1.1 20.1 19.1 18.1 18.0 17.1 17.0 16.1 16.0 15.1 15.0 14.1 14.0 13.1 13.0sp1 13.0 12.1 SP 1 12.1 12.0 11.1 11.0 10.1 10.0 9

fpgasoftware.intel.com

 

4. HDLBits

이카루스 베릴로그를 기반으로 간단한 설계와 시뮬레이션을 할 수 있는 web 기반 시뮬레이터입니다.

최근에 알아서 잠깐 사용해봤는데 간단한 모듈 설계 및 검증을 할 때에는 정말 가볍고 좋네요.

HDLBits (01xz.net)

 

HDLBits

HDLBits — Verilog Practice HDLBits is a collection of small circuit design exercises for practicing digital hardware design using Verilog Hardware Description Language (HDL). Earlier problems follow a tutorial style, while later problems will increasingl

hdlbits.01xz.net

 

HDLBits 사용법

https://wh00300.tistory.com/264

 

웹 기반 베릴로그 시뮬레이터 : Iverilog

 새로운 무료 베릴로그 시뮬레이터를 알게 되어 사용해보고 후기를 남겨봅니다.  이 웹 기반 베릴로그 시뮬레이터는 이카루스 베릴로그를 기반으로 동작합니다. https://en.wikipedia.org/wiki/Icarus_Ver

wh00300.tistory.com

 

반응형
Comments